How to use kratos - 4 common examples

To help you get started, we’ve selected a few kratos examples, based on popular ways it is used in public projects.

Secure your code as it's written. Use Snyk Code to scan source code in minutes - no build needed - and fix issues immediately.

github leonardt / fault / tests / test_kratos_debug.py View on Github external
def test_veriltor_load():
    # define an empty circuit
    mod = kratos.Generator("mod")
    with tempfile.TemporaryDirectory() as temp:

        def run_test():
            # -g without the db dump
            circuit = kratos.util.to_magma(mod, insert_debug_info=True)
            tester = fault.Tester(circuit)
            tester.compile_and_run(target="verilator",
                                   directory=temp,
                                   magma_output="verilog",
                                   use_kratos=True)
        mock_debugger(run_test)
github leonardt / fault / tests / test_kratos_debug.py View on Github external
def test_load_runtime():
    # define an empty circuit
    mod = kratos.Generator("mod")
    with tempfile.TemporaryDirectory() as temp:

        def run_test():
            # -g without the db dump
            circuit = kratos.util.to_magma(mod, insert_debug_info=True)
            tester = fault.Tester(circuit)
            tester.compile_and_run(target="system-verilog",
                                   simulator="ncsim",
                                   directory=temp,
                                   magma_output="verilog",
                                   use_kratos=True)
        mock_debugger(run_test)
github leonardt / fault / tests / test_kratos_debug.py View on Github external
def run_test():
            # -g without the db dump
            circuit = kratos.util.to_magma(mod, insert_debug_info=True)
            tester = fault.Tester(circuit)
            tester.compile_and_run(target="system-verilog",
                                   simulator="ncsim",
                                   directory=temp,
                                   magma_output="verilog",
                                   use_kratos=True)
        mock_debugger(run_test)
github leonardt / fault / tests / test_kratos_debug.py View on Github external
def run_test():
            # -g without the db dump
            circuit = kratos.util.to_magma(mod, insert_debug_info=True)
            tester = fault.Tester(circuit)
            tester.compile_and_run(target="verilator",
                                   directory=temp,
                                   magma_output="verilog",
                                   use_kratos=True)
        mock_debugger(run_test)

kratos

Kratos is a fast hardware design language embedded in Python

BSD-2-Clause
Latest version published 2 years ago

Package Health Score

48 / 100
Full package analysis

Popular kratos functions